peak 發達集團副總裁
來源:哈拉閒聊   發佈於 2020-09-09 16:25

《科技》新思攜台積電 加速3奈米導入SoC設計

新思今(9)日宣佈旗下數位與客製化設計平台已通過 新思設計事業群系統解決方案暨生態系統支援資深副總裁Charles Matar表示,本次與台積電合作為其先進的3奈米製程技術提供高度差異化的解決方案,使客戶能更具信心地著手設計日益複雜的SoC。雙方的合作成果讓設計人員能夠充分利用先進EUV製程在功耗、效能和面積的顯著精進表現,同時加速差異化SoC(客製化產品)產品的創新研發。
新思表示,藉由與台積電密切合作,新思開發出關鍵的特色功能和新技術,以確保台積電N3製程從合成到佈局繞線,再到時序及物理簽核的完整流程之間的關聯性。新思的Fusion Compiler RTL-to-GDSII解決方案和IC Compiler II佈局繞線解決方案已加以擴展可支援台積電N3製程。經強化的新思Design Compiler NXT合成解決方案能讓設計人員充分利用台積電3奈米技術,透過採用高度精確的全新電阻和電容估計方法提升結果品質(QoR),並與新思的IC Compiler II佈局繞線解決方案具備更緊密的關聯性。PrimeTime簽核解決方案支援先進的多輸入切換,用於精確的時序分析和簽核收斂。此外,Design Compiler NXT也讓台積電N3製程能針對高效能運算和行動設計提供解決方案。
新思客製化設計平台中的Custom Compiler已經過強化,能加速3奈米類比設計的實現。這些與3奈米先期用戶共同開發驗證的強化功能,能減少為滿足新設計規則和其他3奈米技術要求所投入的心力。新思的HSPICE、FineSim和CustomSim模擬解決方案為台積電3奈米的晶片設計改善了周轉時間,並提供符合台積電3奈米電路模擬和可靠性要求的簽核範疇。

評論 請先 登錄註冊